Ticket #1589: mc-verilog-syntax.patch

File mc-verilog-syntax.patch, 8.7 KB (added by arekm, 15 years ago)
  • mc-4.6.2-pre1/syntax

    diff -uNr mc-4.6.2-pre1/syntax.orig/Makefile.am mc-4.6.2-pre1/syntax/Makefile.am
    old new  
    4444        syntax.syntax           \ 
    4545        tcl.syntax              \ 
    4646        texinfo.syntax          \ 
     47        verilog.syntax          \ 
    4748        vhdl.syntax             \ 
    4849        unknown.syntax          \ 
    4950        xml.syntax 
  • mc-4.6.2-pre1/syntax

    diff -uNr mc-4.6.2-pre1/syntax.orig/Syntax mc-4.6.2-pre1/syntax/Syntax
    old new  
    193193file ..\*\\.(n|N)$ Nemerle\sProgram 
    194194include nemerle.syntax 
    195195 
     196file ..\*\\.(v|V)$ Verilog\sProgram 
     197include verilog.syntax 
     198 
    196199file ..\*\\.(hdl|vhd|vdhl|HDL|VHD|VHDL)$ VHDL\sDevice\sDescription 
    197200include vhdl.syntax 
    198201 
  • mc-4.6.2-pre1/syntax

    diff -uNr mc-4.6.2-pre1/syntax.orig/verilog.syntax mc-4.6.2-pre1/syntax/verilog.syntax
    old new  
     1# This is Cooledit syntax-file for verilog 
     2# Created by Andres Farfan, <nafraf@linuxmail.org> 
     3# Feel free to copy & modify this. 
     4# 09/2004 
     5 
     6wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_ 
     7 
     8# default colors 
     9context default 
     10 
     11 
     12#Preprocessor keywords 
     13  keyword  whole  `resetall             brightred/ 
     14  keyword  whole  `define               brightred/ 
     15  keyword  whole  `timescale            brightred/       
     16  keyword  whole  `ifdef                brightred/ 
     17  keyword  whole  `else                 brightred/ 
     18  keyword  whole  `endif                brightred/ 
     19  keyword  whole  `include              brightred/ 
     20  keyword  whole  `signed               brightred/ 
     21  keyword  whole  `unsigned             brightred/ 
     22  keyword  whole  `celldefine           brightred/ 
     23  keyword  whole  `endcelldefine        brightred/ 
     24  keyword  whole  `default_nettype      brightred/ 
     25  keyword  whole  `unconnected_drive    brightred/ 
     26  keyword  whole  `nounconnected_drive  brightred/ 
     27  keyword  whole  `accelerate           brightred/ 
     28  keyword  whole  `noaccelerate         brightred/ 
     29  keyword  whole  `protect              brightred/ 
     30  keyword  whole  `endprotect           brightred/ 
     31  keyword  whole  `protected            brightred/ 
     32  keyword  whole  `endprotected         brightred/ 
     33  keyword  whole  `expand_vectornets    brightred/ 
     34  keyword  whole  `noexpand_vectornets  brightred/ 
     35  keyword  whole  `autoexpand_vectornets brightred/ 
     36  keyword  whole  `remove_gatenames     brightred/ 
     37  keyword  whole  `noremove_gatenames   brightred/ 
     38  keyword  whole  `remove_netnames      brightred/ 
     39  keyword  whole  `noremove_netnames    brightred/ 
     40  
     41 
     42#Reserved Keywords 1 
     43  keyword  whole  always        yellow 
     44  keyword  whole  and           yellow 
     45  keyword  whole  assign        yellow 
     46  keyword  whole  attribute     yellow 
     47  keyword  whole  begin         yellow 
     48  keyword  whole  buf           yellow 
     49  keyword  whole  bufif0        yellow 
     50  keyword  whole  bufif1        yellow 
     51  keyword  whole  case          yellow 
     52  keyword  whole  casex         yellow 
     53  keyword  whole  casez         yellow 
     54  keyword  whole  cmos          yellow 
     55  keyword  whole  deassign      yellow 
     56  keyword  whole  default       yellow 
     57  keyword  whole  defparam      yellow 
     58  keyword  whole  disable       yellow 
     59  keyword  whole  edge          yellow 
     60  keyword  whole  else          yellow 
     61  keyword  whole  end           yellow 
     62  keyword  whole  endattribute  yellow 
     63  keyword  whole  endcase       yellow 
     64  keyword  whole  endmodule     yellow 
     65  keyword  whole  endfunction   yellow 
     66  keyword  whole  endprimitive  yellow 
     67  keyword  whole  endspecify    yellow 
     68  keyword  whole  endtable      yellow 
     69  keyword  whole  endtask       yellow 
     70  keyword  whole  event         yellow 
     71  keyword  whole  for           yellow 
     72  keyword  whole  force         yellow 
     73  keyword  whole  forever       yellow 
     74  keyword  whole  fork          yellow 
     75  keyword  whole  function      yellow 
     76  keyword  whole  highz0        yellow 
     77  keyword  whole  highz1        yellow 
     78  keyword  whole  if            yellow 
     79  keyword  whole  initial       yellow 
     80  keyword  whole  inout         yellow 
     81  keyword  whole  input         yellow 
     82  keyword  whole  integer       yellow 
     83  keyword  whole  join          yellow 
     84  keyword  whole  large         yellow 
     85  keyword  whole  macromodule   yellow 
     86  keyword  whole  medium        yellow 
     87  keyword  whole  module        yellow 
     88  keyword  whole  nand          yellow 
     89  keyword  whole  negedge       yellow 
     90  keyword  whole  nmos          yellow 
     91  keyword  whole  nor           yellow 
     92  keyword  whole  not           yellow 
     93  keyword  whole  notif0        yellow 
     94  keyword  whole  notif1        yellow 
     95  keyword  whole  or            yellow 
     96  keyword  whole  output        yellow 
     97  keyword  whole  parameter     yellow 
     98  keyword  whole  pmos          yellow 
     99  keyword  whole  posedge       yellow 
     100  keyword  whole  primitive     yellow 
     101  keyword  whole  pull0         yellow 
     102  keyword  whole  pull1         yellow 
     103  keyword  whole  pullup        yellow 
     104  keyword  whole  pulldown      yellow 
     105  keyword  whole  rcmos         yellow 
     106  keyword  whole  reg           yellow 
     107  keyword  whole  release       yellow 
     108  keyword  whole  repeat        yellow 
     109  keyword  whole  rnmos         yellow 
     110  keyword  whole  rpmos         yellow 
     111  keyword  whole  rtran         yellow 
     112  keyword  whole  rtranif0      yellow 
     113  keyword  whole  rtranif1      yellow 
     114  keyword  whole  scalared      yellow 
     115  keyword  whole  small         yellow 
     116  keyword  whole  specify       yellow 
     117  keyword  whole  specparam     yellow 
     118  keyword  whole  strength      yellow 
     119  keyword  whole  strong0       yellow 
     120  keyword  whole  strong1       yellow 
     121  keyword  whole  supply0       yellow 
     122  keyword  whole  supply1       yellow 
     123  keyword  whole  table         yellow 
     124  keyword  whole  task          yellow 
     125  keyword  whole  time          yellow 
     126  keyword  whole  tran          yellow 
     127  keyword  whole  tranif0       yellow 
     128  keyword  whole  tranif1       yellow 
     129  keyword  whole  tri           yellow 
     130  keyword  whole  tri0          yellow 
     131  keyword  whole  tri1          yellow 
     132  keyword  whole  triand        yellow 
     133  keyword  whole  trior         yellow 
     134  keyword  whole  trireg        yellow 
     135  keyword  whole  use           yellow 
     136  keyword  whole  vectored      yellow 
     137  keyword  whole  wait          yellow 
     138  keyword  whole  wand          yellow 
     139  keyword  whole  weak0         yellow 
     140  keyword  whole  weak1         yellow 
     141  keyword  whole  while         yellow 
     142  keyword  whole  wire          yellow 
     143  keyword  whole  wor           yellow 
     144  keyword  whole  xnor          yellow 
     145  keyword  whole  xor           yellow 
     146   
     147#Reserved Keywords 2 
     148 
     149  keyword  whole  $bitstoreal    yellow 
     150  keyword  whole  $countdrivers  yellow 
     151  keyword  whole  $display       yellow 
     152  keyword  whole  $dumpall       yellow 
     153  keyword  whole  $dumpfile      yellow 
     154  keyword  whole  $dumpflush     yellow 
     155  keyword  whole  $dumpoff       yellow 
     156  keyword  whole  $dumpon        yellow 
     157  keyword  whole  $dumpvars      yellow 
     158  keyword  whole  $fclose        yellow 
     159  keyword  whole  $fdisplay      yellow 
     160  keyword  whole  $finish        yellow 
     161  keyword  whole  $fmonitor      yellow 
     162  keyword  whole  $fopen         yellow 
     163  keyword  whole  $fstrobe       yellow 
     164  keyword  whole  $fwrite        yellow 
     165  keyword  whole  $getpattern    yellow 
     166  keyword  whole  $history       yellow 
     167  keyword  whole  $hold          yellow 
     168  keyword  whole  $incsave       yellow 
     169  keyword  whole  $input         yellow 
     170  keyword  whole  $itor          yellow 
     171  keyword  whole  $key           yellow 
     172  keyword  whole  $list          yellow 
     173  keyword  whole  $log           yellow 
     174  keyword  whole  $monitor       yellow 
     175  keyword  whole  $monitoroff    yellow 
     176  keyword  whole  $monitoron     yellow 
     177  keyword  whole  $nokey         yellow 
     178  keyword  whole  $nolog         yellow 
     179  keyword  whole  $period        yellow 
     180  keyword  whole  $printtimescale        yellow 
     181  keyword  whole  $readmemb      yellow 
     182  keyword  whole  $readmemh      yellow 
     183  keyword  whole  $realtime      yellow 
     184  keyword  whole  $realtobits    yellow 
     185  keyword  whole  $recovery      yellow 
     186  keyword  whole  $reset         yellow 
     187  keyword  whole  $reset_count   yellow 
     188  keyword  whole  $reset_value   yellow 
     189  keyword  whole  $restart       yellow 
     190  keyword  whole  $rtoi          yellow 
     191  keyword  whole  $save          yellow 
     192  keyword  whole  $scale         yellow 
     193  keyword  whole  $scope         yellow 
     194  keyword  whole  $setup         yellow 
     195  keyword  whole  $setuphold     yellow 
     196  keyword  whole  $showscopes    yellow 
     197  keyword  whole  $showvariables yellow 
     198  keyword  whole  $showvars      yellow 
     199  keyword  whole  $skew          yellow 
     200  keyword  whole  $sreadmemb     yellow 
     201  keyword  whole  $sreadmemh     yellow 
     202  keyword  whole  $stime         yellow 
     203  keyword  whole  $stop          yellow 
     204  keyword  whole  $strobe        yellow 
     205  keyword  whole  $time          yellow 
     206  keyword  whole  $timeformat    yellow 
     207  keyword  whole  $width         yellow 
     208  keyword  whole  $write         yellow 
     209 
     210 
     211    keyword > yellow 
     212    keyword < yellow 
     213    keyword \+ yellow 
     214    keyword - yellow 
     215    keyword \* yellow 
     216    keyword / yellow 
     217    keyword % yellow 
     218    keyword = yellow 
     219    keyword != yellow 
     220    keyword == yellow 
     221    keyword { brightcyan 
     222    keyword } brightcyan 
     223    keyword ( brightcyan 
     224    keyword ) brightcyan 
     225    keyword [ brightcyan 
     226    keyword ] brightcyan 
     227    keyword , brightcyan 
     228    keyword . brightcyan     
     229    keyword : brightcyan 
     230    keyword ? brightcyan 
     231    keyword ; brightmagenta 
     232 
     233 
     234context exclusive /\* \*/ brown 
     235    spellcheck 
     236 
     237context exclusive // \n brown 
     238    spellcheck 
     239 
     240context " " green/